close By using this website, you agree to the use of cookies. Detailed information on the use of cookies on this website can be obtained on OneSpin's Privacy Policy. At this point you may also object to the use of cookies and adjust the browser settings accordingly.

Functional safety analysis to meet the requirements of ISO 26262, IEC 61508, DO-254 and other standards

The use of complex ICs in safety-critical systems is now commonplace throughout many industry sectors, including automotive, aerospace, power generation, defense, and medical devices. Governed by a range of regulatory standards, the development of these systems must be proven to be rigorous and compliant to a set of requirements. Moreover, to improve the safe operation of these systems, safety mechanisms or redundancy are integrated that ensure a reliable, deterministic reaction to random hardware faults when the device is operating in the field.

OneSpin’s Functional Safety Verification Solution provides automated functional safety analysis that enables an efficient, predictable path to standard compliance.

ISO 26262

Automate FMEDA and minimize fault simulation

The automotive market, governed by the ISO 26262 standard, demands a particularly rigorous development methodology. This includes requirements tracing from specification to verification and coverage, analysis of failure modes, and risk assessment and mitigation techniques.

OneSpin provides solutions for ISO 26262-compliant IC development and qualification of the verification environment. These include verification of safety mechanisms, and a unique FMEDA solution that enables an unprecedented level of safety analysis automation, while also reducing effort and computationally intensive fault simulation. Learn more about OneSpin’s automotive safety solution here.

DO-254

Speed-up elemental analysis and minimize gate-level simulation

DO-254 is the functional safety standard for airborne electronic hardware (AEH). FPGA and SoC developers must comply with DO-254 to obtain certification of airworthiness from governmental agencies such as FAA and EASA. Satisfying the requirements of DO-254 is challenging, particularly for the strictest design assurance levels (DAL A/B).

OneSpin provides unique formal verification solutions applicable to ASIC and FPGA flows that speed-up DO-254 compliance by automating tedious, error-prone tasks, and replacing effort- and computationally-intensive tasks such as gate-level simulation, and dead code analysis with robust, reusable flows. Learn more here.

IEC 61508

Achieve high safety integrity levels (SILs) for FPGAs/ASICs/SoCs

IEC 61508 is a generic functional safety standard for electric and electronic systems. A number of other standards are derived from IEC 61508 that cover specific applications, including nuclear power plants, medical devices, and more.

OneSpin’s formal verification solutions have been used by successful companies such as Hitachi to verify functional safety controllers for industrial facilities and achieve SIL3 and SIL4 certifications. Learn more here.

Tool Qualification Kits (TQKs) for Functional Safety Compliance

ISO 26262, IEC 61508, and EN 50128

Support for tool safety compliance is an integral part of state-of-the-art safety-critical verification solutions. OneSpin Solutions meets or exceeds the requirements of functional safety standards. The internationally-recognized testing body TÜV SÜD successfully completed a series of factory inspections and audits of OneSpin’s organization and tool development processes. This conformance level enables OneSpin to provide certified formal verification solutions meeting tool qualification requirements set by functional safety standards for automotive and other applications. As a result, OneSpin's formal tools and solutions can be applied by customers up to the highest safety integrity levels (ASIL D and SIL 3). Learn more here or download a TQK data sheet.

DO-254 and DO-330

Software tools applied in the development of airborne electronic hardware (AEH) must undergo tool assessment and qualification. OneSpin provides expert support and DO-254 Tool Assessment and Qualification Kits, which enable OneSpin users to meet the requirements of DAL A/B aviation electronic systems. Learn more here or download a TQK data sheet.


More information…


OneSpin’s Fault Propagation Analysis App automatically identifies non-propagatable faults, allowing their safe elimination prior to simulation, thereby cutting on simulation and debug time while increasing the nominal fault coverage.

»Learn more about the Fault Propagation Analysis App…

OneSpin’s Fault Injection App automates the definition and injection of fault scenarios, eliminating the need of a separate testbench, thereby cutting on engineering effort while enabling a unified and standard-compliant formal verification flow.

»Learn more about the Fault Injection App…

Fault-tolerant electronic components in safety-critical systems are now commonplace in many industry sectors, including automotive, aerospace, power generation, defense, and medical.

»Download the flyer…

OneSpin 360 EC-FPGA is an automatic sequential equivalence checker that prevents field programmable gate array (FPGA) design flows from introducing synthesis, place-and-route and other implementation errors.

»Download the data sheet…

With this DER-reviewed Tool Qualification Kit (TQK), users can deploy EC-FPGA seamlessly in their DO-254 projects to achieve a new level of productivity and standard compliance, including for Design Assurance Level (DAL) A/B applications.

»Download the data sheet…

OneSpin’s Fault Injection App (FIA) automates the definition and handling of fault injection scenarios, removing the need for ad hoc verification flows or environments, thereby cutting on engineering effort and promoting reusability across projects and teams.

»Download the data sheet…

OneSpin’s Fault Propagation Analysis (FPA) App automatically identifies non-propagatable faults, allowing their safe elimination prior to pre and post simulation, thereby cutting on simulation and debug time while increasing the nominal fault coverage.

»Download the data sheet…

Functional safety standards demand that this risk be assessed and adequately minimized through tool qualification and other processes. For engineering teams, this is a time-consuming task and, worryingly, one for which there are no mature solutions yet. Tool vendors may provide safety certificates or packages, in an attempt to support their customers with safety compliance. Strategies vary and so do the benefits to the user and project.
In this paper, we review requirements on tool classification and qualification, present different safety compliance strategies, and explain their benefits to safety-critical hardware projects.

»Download the white paper…

Functional safety standards require a rigorous development process to minimize the risk of introducing systematic faults. Some RTL issues may only reveal themselves as bugs in the synthesis netlist. Additionally, synthesis tools manipulate the design to map it into the fixed FPGA structure. These complex transformations present a high risk of introducing bugs. Gate-level simulation and lab testing can only cover a tiny portion of the FPGA functionality and are likely to miss implementation bugs. Moreover, they are slow to run and challenging to debug.
This white paper presents an implementation signoff flow proving that the final FPGA netlist is functionally equivalent to the RTL model. Based on FPGA-specific, mature formal verification technology, the solution is exhaustive and efficient, catching many issues before synthesis starts.

»Download the white paper…

Automotive technology has come a long way since the days of the Ford Model T. Today's smart vehicles not only assist their drivers with tasks such as parking, lane management, and braking, but also function as a home away from home, with WiFi hotspots and sophisticated entertainment systems. These sophisticated features are made possible by increasingly complex electronic systems—systems that present countless new opportunities for things to go wrong. A defective headrest video screen may be an irritation to a young passenger in the back seat, but a malfunctioning corrective steering system could cost the occupants of the vehicle their lives. Adequate verification is essential.
OneSpin's formal verification solutions can help automotive suppliers continue to advance their technology while keeping drivers and passengers safe. Our safety-critical white paper examines the ISO 26262 automotive standard and makes a case for its indispensability.

»Download the white paper…

Fault-tolerant hardware development is no longer a niche and presents new challenges. Many engineers face the daunting task of having to examine countless faulty variants of their design in order to integrate and verify multiple safety mechanisms within complex Systems-on-Chip (SoCs).
This white paper examines key goals and challenges in fault-tolerant hardware verification, and presents formal solutions that ensure predictable hardware behavior under all relevant operating conditions and fault scenarios, while saving in engineering and computational resources.

»Download the white paper…

This presentation focuses on the formal verification solutions that can provide high ROI in AEH development projects. These solutions reduce the risk of undetected hardware issues, and enable a more predictable and efficient path to airworthiness certification.

»Watch the video on YouTube…

We check the web for relevant safety-critical news content and link directly to the source from here. Want to get your monthly recap of relevant news?

»Sign-up to our newsletter…

"Our verification approach is based on the verification methodology of [1,2], which is marketed under the name GapFreeVerification™ by OneSpin Solutions1 . This approach uses so called operational properties to construct complete formal specifications and includes methods to verify specification completeness."
"For portability and accessibility, we store tabular specifications in a standard spreadsheet format. The automatic translators of the tabular representation are implemented using Java Emitter Templates (JET) [5]. The operational properties 7 of core functionality are expressed in SystemVerilog assertions using Timing Diagram Assertion Library (TIDAL™) [13]. The properties of auxiliary clusters are written in plain SystemVerilog assertions. Design verification and completeness checks are performed with OneSpin 360 Design Verifier."

»Read the full article…

“The MicroSemi ProASIC3 FPGA is a core component of the Advanced Logic System (ALS), and use of the OneSpin 360 Equivalence Checker is an integral part of our FPGA development process for nuclear safety systems.” says Erik Matusek, Safety System Platform Manager at Westinghouse Electric Company, LLC

»Read the full article…

Get in touch!

Learn more about safety critical design verification with OneSpin

portrait of Jörg Grosse

Jörg Grosse, Product Manager Functional Safety

» Contact